Hexagon 680, the smaller brain in Qualcomm Snapdragon 820

Hexagon 680, the smaller brain in Qualcomm Snapdragon 820
HIGHLIGHTS

Qualcomm unveiled details about its new DSP architecture of its upcoming SoC: here's taking a look

At the Hot Chips conference, Qualcomm revealed new facts about its upcoming Snapdragon 820 SoC. The company showcased the new digital signal processor (DSP) – the Hexagon 680, at the conference. This all-new DSP, which is part of the Snapdragon 820 SoC, is a major component of the upcoming chip. While the main cores will do all the heavy work, the Hexagon 680 will try to ease that load by taking smaller tasks without bothering the main cores.

To put it simply, DSPs are highly efficient microprocessors which have limited functionality as compared to CPUs which are designed for flexibility to handle a variety of tasks. So, in order to improve efficiency of frequently used light tasks, DSPs are employed to ease the load on the CPU, and in the process, free up vital resources for other tasks. It is however, important to note that DSPs are not a replacement for CPUs, rather a complement to it.

In this case, the Hexagon 680’s primary role is managing always-on apps, image processing, and computer vision. Widely put, the Hexagon 680 DSP is a three-part DSP system which comprises of — compute DSP, low power DSP and modem DSP. The functions that these 3 will serve are improved low-light processing, support for always-on sensor-aware apps, and computer vision.

Let’s take a closer look:

The Compute DSP
The role of the Compute DSP is to take up small low-powered tasks to ease load off the main cores, thereby saving battery. It will be used for photo processing, wherein the algorithms will brighten underexposed areas of an image a little more intelligently than currently existing high-dynamic range (HDR) photos. This is achieved through what Qualcomm is calling the Hexagon Vector Extensions (HVX). It supports advanced imaging and computer vision capabilities when paired with the Spectra Image Signal Processor (ISP) while also improving virtual-reality and augmented-reality applications and displays on a phone. According to Qualcomm, users can expect up to a three fold increase in low-light performance and 10x reduction in power consumption as compared to its older processors.

The Low Power DSP
The “low power island” is designed for always-on sensors. This DSP will primarily be used to handle always-on functions which require the sensors to be turned on always. Precise positioning through GPS sensors, step-tracking, and voice recognition are some of the functions that can be efficiently handled by the low power island. Qualcomm is claiming an increase in battery life by a factor of three. Always-on sensors, something like we saw on the Motorola phones lately (Moto Turbo, Moto X) are now handled by DSPs only.

The Modem DSP
The Modem DSP handles career aggregation as well as global LTE and multimode. In doing so, it is expected to significantly reduce the battery consumption.

So what is HVX, and how does it fit into all of this?

Hexagon Vector eXtensions (HVX) is nothing but the instruction set of the Compute DSP. By employing HVX on the Compute DSP, it can efficiently handle tasks such as image processing, video processing, and computer vision. It translates into less load on the power guzzling CPU and GPU. The HVX architecture has 32 1024-bit vector data registers and is able to address four of these slots per instruction resulting in 4096 bits per cycle. It will be sufficient for post-processing 4K videos and 20MP camera burst processing. 

A highly efficient multithreaded compute engine featuring 4 parallel scalar threads each running at 500 MHz provide a total of 2 GHz scalar performance. These units share a L1 instruction and data cache and L2 cache. This is in fact, quite similar to how most modern multi-core CPUs are built. The advantage of such a DSP architecture is that it allows for simultaneous execution of both audio and imaging tasks.

By unveiling this new DSP architecture, Qualcomm has given itself a shot at redemption after being criticized over the Snapdragon 810. They will hope to undo some of that damage when the Snapdragon 820 is released along with the Spectra ISP.

All things considered, it still remains to be seen how far OEMs are able exploit these advanced features of the Snapdragon 820. The applications also need to be suitably optimized to harness the advantages that the Hexagon 680 presents. The Qualcomm Snapdragon 820 is expected to debut in the first half of 2016 along with the Spectra ISP.

Digit.in
Logo
Digit.in
Logo